如何在COCOTB中生成时钟?

2024-05-23 16:49:23 发布

您现在位置:Python中文网/ 问答频道 /正文

我正在尝试使用Cocotb(一个基于Python的框架)验证一个基于flash的设计,我对它还不熟悉。我过去一直使用verilog,SystemVerilog。我正在尝试为测试台生成时钟。你知道吗

我试着看了一下文件。我在cocotb的网站上看到他们有一个钟表班。我还发现我可以使用cocotb.fork(clock(dut.clk,5000).start())来生成时钟。你知道吗

def directed_test(dut):

    cocotb.fork(Clock(dut.clk, 1000).start())

这就是生成时钟所需要做的一切吗?cocotb文档中提供的类时钟,我是否应该简单地导入它并通过在一个协同例程中编写上述语句来生成时钟?你知道吗

非常感谢您的帮助。你知道吗

学习者。你知道吗


Tags: 文件框架网站fork时钟startverilogflash